Skip to content
Commit d166db12 authored by Xaver Hugl's avatar Xaver Hugl
Browse files

OutputDeviceInterface: remove signals

They're unused and unnecessary
parent 128570ed
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment